aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue520/alias.vhdl
blob: 9b1d98c5661144827f3c72f7160a3908d176092e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity alias_extname_driving_signal is
  port(
    clk : in std_logic
  );
end alias_extname_driving_signal;

architecture primary of alias_extname_driving_signal is
  signal counter : unsigned(15 downto 0) := (others => '0');
begin
 counter <= (counter + 1) when rising_edge(clk);
end architecture primary;


library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity alias_tb is
end alias_tb;

architecture primary of alias_tb is
  signal clk : std_logic := '0';
  signal vector16 : unsigned(15 downto 0);
begin
  clk <= not clk after 10 ns;

  uut : entity work.alias_extname_driving_signal
    port map(
      clk => clk
    );

  blk: block
    alias counter_alias is << signal .alias_tb.uut.counter : unsigned(15 downto 0) >>;
  begin
    vector16 <= counter_alias;
  end block;
end architecture primary;