aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue50/idct.d/muxb_265.vhd
blob: 17db58201a84e6e9539e7c339a1109e384a3a606 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
library ieee;
use ieee.std_logic_1164.all;

library ieee;
use ieee.numeric_std.all;

entity muxb_265 is
	port (
		in_sel : in  std_logic;
		out_data : out std_logic;
		in_data0 : in  std_logic;
		in_data1 : in  std_logic
	);
end muxb_265;

architecture augh of muxb_265 is
begin

	out_data <= in_data0 when in_sel = '0' else in_data1;

end architecture;