aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue478/repro3.vhdl
blob: 13e65590254650835978321241490fe77c4b3fe6 (plain)
1
2
3
4
5
6
7
8
9
10
entity repro3 is
end;

architecture behav of repro3 is
begin
   process
   begin
    "and" (true, false);
   end process;
end;