aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue473/repro2.vhdl
blob: 6ab9c4bbd7344b8f6e3a2871d20c0a31e93bebc0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity repro is
end entity repro;

architecture TB of repro is

begin

    DM: process
        type t_ram is array(natural range <>) of bit_vector;
        type p_ram is access t_ram;
        variable myram : p_ram;
    begin
        myram := new t_ram(0 to 31)(15 downto 0);
        for i in myram'range loop
            myram(i) := (others => '0');
        end loop;
        wait;
    end process DM;
    
end architecture TB;