aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue472/test.vhdl
blob: 9f196078b376b9e10e26df522a29f2aac1abf165 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
library ieee;                                                                   
use ieee.std_logic_1164.all;                                                    
                                                                                
entity test is                                                                  
    port (                                                                      
    input : boolean                                                             
    );                                                                          
end test;                                                                       
                                                                                
architecture behaviour of test is                                               
    signal foo : std_logic;                                                     
begin                                                                           
    foo <= "0" when input else "1"; -- Note: Should be '0' and '1' instead      
end behaviour;