aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue467/testbench.vhdl
blob: 957b733dc48c3903aaf49902774d6fc7a8df0c0f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

use work.AbstractMmPkg.all;

entity testbench is
end entity testbench;

architecture TB of testbench is

	signal rec : AbstractMmRecType(
		writedata(31 downto 0),
		readdata(31 downto 0),
		address(4 downto 0),
		byteen(3 downto 0)
	);

begin

end architecture TB;