aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue461/repro1.vhdl
blob: 20131f01972ab415b7ae7c55f6e4c3c2df060ffd (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity repro is
end repro;

architecture behaviour of repro is
    signal selector : bit_vector(1 downto 0) := "10";
    signal result   : bit_vector(7 downto 0);

    signal op_1     : bit_vector(7 downto 0);
    signal op_2     : bit_vector(7 downto 0);
begin
    with selector select
        result <= op_1 and op_2 when "00",
                  (others => '0') when others;
end behaviour;