aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue459/e4.vhdl
blob: cc636928f97422f4c4853025e506a0e0cd855ed9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity E4 is
end entity;

architecture A of E4 is
	-- array with unconstrained array element type
	type    A is array(natural range <>) of bit_vector;
	
	-- partially constrained array -> constrained inner array (element)
	subtype P1 is A(open)(7 downto 0);
	
	signal S1 : P1(15 downto 0);         -- finally constraining the vector size
begin
end architecture;