aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue459/e3.vhdl
blob: 3ae23fe5458fabd1070f9ee60d82b1734f369ed9 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
entity E3 is
end entity;

architecture A of E3 is
	-- array with unconstrained array element type
	type    A is array(natural range <>) of bit_vector;
	
	-- partially constrained array -> constrained outer array (vector)
	subtype P2 is A(15 downto 0)(open);
	
	signal S2 : P2(open)(7 downto 0);    -- finally constraining the element size          line 14
begin
end architecture;