aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue459/e1.vhdl
blob: 357904020a18d03df304cb18548c387097013a26 (plain)
1
2
3
4
5
6
7
8
9
entity E1 is
end entity;

architecture behav of E1 is
	-- array with unconstrained array element type
	type    A is array(natural range <>) of bit_vector;
	
begin
end architecture;