aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue458/repro.vhdl
blob: 2e061ad6b64ebfa91ebdcfb0819796946cdce134 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity repro is
end entity;

architecture A of repro is
	signal S1           : bit := '0';
	alias S1_delayed   : bit is S1'delayed(100 ns);
begin
	S1 <= '1' after 10 ns, '0' after 20 ns;

        process (S1) is
        begin
          assert false report "S1 = " & bit'image(S1) severity note;
        end process;

        process (S1_delayed) is
        begin
          assert false report "S1'delayed = " & bit'image(S1_delayed)
            severity note;
        end process;
end architecture;