aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue456/gen.py
blob: fe1f82e6440e99de54ac3cfe7961893a2adb1ef2 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
import sys
import random

n=1024
if len(sys.argv) > 1:
    n = int(sys.argv[1])

sys.stdout.write("package data_pkg is\n")
sys.stdout.write("  type word_vector is array (natural range <>) of\n")
sys.stdout.write("      Natural;\n")
sys.stdout.write("\n")
sys.stdout.write("  constant data : word_vector := (\n")
for i in range(n):
    sys.stdout.write("    ")
    for j in range(4):
        sys.stdout.write('16#{:08x}#, '.format(random.getrandbits(31)))
    sys.stdout.write("\n")
sys.stdout.write('    16#{:08x}#\n'.format(random.getrandbits(31)))
sys.stdout.write("    );\n")
sys.stdout.write("end data_pkg;\n")