aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue449/repro2.vhdl
blob: e523d692ebfe296fbbf343a204d4df91339e87e7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity asd2 is
end entity;

architecture aa of asd2 is
type ia is array (natural range <>) of integer;

function a return ia is
begin
return (1,2);
end function;

function a (s : integer) return integer is
begin
return 1;
end function;

signal ad : integer;
begin
ad <= a(1);
end architecture;