aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue440/ent.vhdl
blob: 0333fade5789fad2fdb9d5f4c3a809ecfb785247 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
package gpkg is
  generic (const : natural);
end package;

package ipkg is new work.gpkg generic map (const => 1);

entity ent is
end entity;

architecture a of ent is
begin
  main : process
  begin
     -- Case 1
     assert work.ipkg.const = 1; -- Should this result in a 'no declaration of const' error?
     -- case 2     
--     assert << constant @work.ipkg.const : natural>> = 1; -- Should this be visible?
  end process;
end architecture;