aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue440/e3.vhdl
blob: 42868bac9edaddf149e6a1bf2c0249992545536c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
package p3 is
 generic(
   -- function generic_f(b:bit) return boolean
   function f(b:bit) return boolean
   );
 constant c : boolean := f('0');
end package;

entity e3 is end entity;
architecture a of e3 is
 function f(b:bit) return boolean is begin return false; end function;
 package q is new work.p3 generic map(f);
begin
 assert q.c = false report "bad value" severity failure;
end architecture;