aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue424/testCaseCrash.vhd
blob: 555d4db7f1806f96e017837d345300fba60ace8d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library ieee;
use ieee.std_logic_1164.all;

entity testCaseCrash is
  port (outPad : out std_logic;
        inPad  : in  std_logic
       );
end entity testCaseCrash;

architecture behavioral of testCaseCrash is
  component subBlock is
    port (outPort : out std_logic;
          inPort  : in  std_logic
          );
  end component subBlock;
  
begin
  xsubBlock : subBlock
    port map (outPort => outPad,
              inPort  => inPad
             );
  
end architecture behavioral;