aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue40/test.vhdl
blob: ca00ece421441ada4506ad76919c7bba5e030451 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

entity a is
port
(
    foo : in std_ulogic_vector
);
end a;

architecture rtl of a is
begin
end rtl;

library ieee;
    use ieee.std_logic_1164.all;
    use ieee.numeric_std.all;

entity b is
port
(
    bar     : inout std_logic_vector(7 downto 0)
);
end b;

architecture rtl of b is
begin

i_a: entity work.a
port map
(
    foo => std_ulogic_vector(bar)
);
end rtl;