aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue395/e1.vhdl
blob: ec291ad516699cad0934524dfb0b56344c18d6aa (plain)
1
2
3
4
5
6
7
entity e is end entity;
architecture a of e is
  function f(b:integer:=0) return string is begin return "abc"; end function;
  function f               return string is begin return "def"; end function;
begin
  assert false report "x: " & f(1 to 2) severity note;
end architecture;