aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue394/bug.vhdl
blob: c9a3bf7e32dba4c7624a3a7d0d90aa8e53b5d512 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
use std.textio.all;

entity bug is
end entity;

architecture a of bug is
begin
  main : process
    procedure echo(msg : string) is
      variable l : line;
    begin
      write(l, msg);
      writeline(OUTPUT, l);
    end;

  begin
    echo("1");
    report "2";
    echo("3");
    report "4";
    wait;
  end process;
end;