aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue384/yy.vhdl
blob: d89c6dc15e9445a27aec30b3769d95d1234f805d (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
library STD;
use STD.STANDARD.all;
use STD.TextIO;

package test is

  function IMAGE  (I  : Integer)          return string ;    

end package test;

package body test is

  function IMAGE(i : Integer) return string is
  variable l : TextIO.line ;
  variable s : string(1 to 80);
  variable r : Natural;
 begin
  TextIO.Write(l, i) ;
  r := l'length;
  TextIO.Read(l,s(1 to r));
  TextIO.Deallocate(l);
  return s(1 to r) ;
 end IMAGE  ;

end package body test;