blob: cc824294f067a8962270dfbb8b081a95fe1779a9 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity ghdl_bug is
end ghdl_bug;
architecture ghdl_bug_arch of ghdl_bug is
function fail_msg_data(
data : std_logic_vector
) return string is
variable data_nat : natural
:= to_integer(unsigned(data(min(28, 24) downto 0)));
begin
return "data=" & integer'image(data_nat);
end function;
begin
end ghdl_bug_arch;
|