aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue373/e.vhdl
blob: 395e8c6e985ee2cd90c049a61178ea86145ce02c (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
entity x is
  port(
    t :out bit_vector(0 to 0);
    z :out bit_vector(0 to 0)
  );
end entity;
architecture a of x is begin end architecture;

entity e is end entity;
architecture a of e is
  constant z :integer := 0;
  subtype t is bit_vector(0 to 0);
  signal actual_for_t :bit;
  signal actual_for_z :t;
begin
  inst: entity work.x port map(
    t(z) => actual_for_t,
    t(z) => actual_for_z
  );
end architecture;