aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue372/dummy.vhdl
blob: 03d385779b54a65c85a9719e52d0702ca276c420 (plain)
1
2
3
4
5
6
7
8
9
10
11
library ieee;
use ieee.std_logic_1164.all;

entity Dummy is
end entity;

architecture arch of Dummy is
  subtype t_null is std_logic_vector(-1 downto 0);
  type array_of_nulls is array(1 downto 0) of t_null;
begin
end architecture;