aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue361/repro.vhdl
blob: e994d8c0d96c09f773c487ea69399bf8130e802b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
entity repro is
end;

architecture behav of repro is
  type rec is record
    v : bit_vector;
  end record;

  procedure assign (signal s : out rec; val : rec) is
  begin
    s <= val;
  end assign;
begin
end behav;