aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue328/t3b.vhdl
blob: b6ed75a87f42dc2f867476493bd0ff16a5afc009 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
entity t3b is
end t3b;


library ieee;
use ieee.std_logic_1164.all;

architecture behav of t3b is
  signal s : std_logic := '0';
begin
  b: block
    port (p : out std_logic);
    port map (p => s);
  begin
  end block;

  process
  begin
   wait for 1 ns;
   assert s = 'U' severity failure;
   wait;
  end process;
end behav;