blob: 3825beab543a44996238bd95bf41050e1ade1d74 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
|
entity t3 is
end t3;
library ieee;
use ieee.std_logic_1164.all;
architecture behav of t3 is
signal s : std_logic;
begin
b: block
port (p : out std_logic := '0');
port map (p => s);
begin
end block;
assert s = '0' severity failure;
end behav;
|