aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue326/foo.vhdl
blob: abf23402ee6e6c917e9bf0bf6eea80428d68ca14 (plain)
1
2
3
4
5
6
7
8
9
10
11
entity foo is
	port(a, b: out bit);
end entity foo;
architecture bug of foo is
begin
	a <= '0', '1' after 1 ns, '0' after 2 ns, '1' after 3 ns;
	process(a)
	begin
		b <= not a;
	end process;
end architecture bug;