aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue317/repro3.vhdl
blob: 1f382ad2197d6568e0e21d8b0a73f9f193135a3f (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
package repro3_sortnet_tb is
  generic (
    DATA_BITS			: positive
    );

  subtype T_DATA	is bit_vector(DATA_BITS - 1 downto 0);
  type T_DATA_VECTOR	is array(natural range <>) of T_DATA;

  procedure dec (v : inout natural);
end repro3_sortnet_tb;

package body repro3_sortnet_tb is
  procedure dec (v : inout natural) is
  begin
    v := v - 1;
  end dec;
end repro3_sortnet_tb;

entity repro3 is
end repro3;

architecture behav of repro3 is
  package tb is new work.repro3_sortnet_tb generic map (3);
begin
end behav;