aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue317/repro1.vhdl
blob: 76ed6aaf5e81df1bc8b9ba1203b96b9f7cc84a3e (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
library IEEE;
use			IEEE.STD_LOGIC_1164.all;
package sortnet_tb is
  generic (
    DATA_BITS			: positive;
    INPUTS				: positive
    );

  subtype T_DATA	is std_logic_vector(DATA_BITS - 1 downto 0);
  type T_DATA_VECTOR	is array(natural range <>) of T_DATA;

  type T_SCOREBOARD_DATA is record
    Data  : T_DATA_VECTOR(INPUTS - 1 downto 0);
  end record;
end sortnet_tb;

entity repro1 is
end repro1;

architecture behav of repro1 is
  package tb is new work.sortnet_tb generic map (3, 4);
begin
end behav;