aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue312/test.vhdl
blob: 2fca37258ad066c236a0fa0857355ffa99c699d8 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
package pkg is
  generic (
    type T
  );
end package;


use work.pkg.all;

entity test is
end entity;

architecture tb of test is
  package p is new package pkg
    generic map (
      T => integer
    );
begin
end architecture;