aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue310/bbb.vhdl
blob: b2ef9a1b4cc5a5dcec2f43459ee3065fd42b8b47 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
--  package bb is new work.b generic map ( X => 6);

use work.bb.all;

entity bbb is
end entity;

architecture a of bbb is

begin
    process
        variable n:  m (0 to 0);
    begin
        report "X = " & integer'image(X);
        report "m'left = " & integer'image(n(0)'left);
        wait;
    end process;
end architecture;