aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue310/bb.vhdl
blob: 4f8e87d0ee9592ff807631ee76c1fc6c0d402886 (plain)
1
2
package bb is new work.b generic map ( X => 6);