aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue295/repro.vhdl
blob: ebcb8677b76824bcc1b238acee60bef2a32d93c3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
entity tb_test4 is
end tb_test4;

architecture tb of tb_test4 is
begin
    test_runner : process
    begin
      assert 2.0**(-1023) > 0.0 report "This passes";
      assert 2.0**(-1024) > 0.0 report "This fails" severity note;
      assert 2.0**(-1024) = 0.0 report "This passes" severity failure;

      assert (2.0**(-1023))/2 > 0.0 report "This fails" severity error;

      wait;
    end process test_runner;
end;