aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue283/example.py
blob: 9c3b21d5df9d27db1ebbf8c106ba0103203dee5b (plain)
1
2
3
4
5
6
7
8
9
10
11
import cocotb
from cocotb.triggers import Timer


@cocotb.test()
def test_transaction(dut):

    yield Timer(1)

    dut._log.info("%d" % dut.s_test)
    dut._log.info("%d" % dut.cmp_bar.s_test)