aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue283/bar.vhd
blob: ca9c01b78c69a2d711be0d46c0adfb4cb4de4c15 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;

entity Bar is
  port (
    a : std_logic
  );
end entity Bar;

architecture RTL of Bar is

  signal s_test : std_logic_vector(3 downto 0) := "1111";

begin

end architecture;