blob: c7a268b710a6493506f58bf08e24421096ba0084 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
|
entity ex1_entity is
port (
X : inout boolean -- std_logic works
);
end entity;
architecture a of ex1_entity is
begin
end architecture;
library IEEE;
use IEEE.std_logic_1164.all;
entity ex1_top is
end entity;
architecture a of ex1_top is
signal A : std_logic;
begin
inst : entity work.ex1_entity
port map (
X => A -- line containing error
);
end architecture;
|