aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue254/repro1.vhdl
blob: 49d396a7cc6a36e308093379b6d2a6e9883433cb (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity repro1 is
end entity;

architecture a of repro1 is
begin
  process
    type LongInteger is range -2**47 to 2**47-1;
    variable v : LongInteger;
    variable s : string (1 to 4);
  begin
    v := 12345678901;
    report "v = " & LongInteger'image(v) severity note;
    s := "1245";
    assert LongInteger'Value (s) = 1245 severity failure;
    wait;
  end process;
end architecture;