aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2422/top.vhdl
blob: c445a7aeac8a20edfc77b30f4d9ac69563d1e91a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
library ieee;
use     ieee.std_logic_1164.all;
use     ieee.numeric_std.all;

entity comp is
	port (
		output : out unsigned
	);
end entity;

architecture a1 of comp is
begin
	output <= (7 downto 0 => '0');  -- not using others due to issue #2421
end architecture;




library ieee;
use     ieee.std_logic_1164.all;
use     ieee.numeric_std.all;

entity top is
end entity;

architecture a2 of top is
	signal sig : std_logic_vector(7 downto 0);
begin
	inst : entity work.comp
		port map (
			std_logic_vector(output) => sig
		);
end architecture;