aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2422/aggr_repro4.vhdl
blob: bd474730f492979cb1ef1bfe2e0cfc86239eea62 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
42
43
library ieee;
use     ieee.std_logic_1164.all;

package pkg_repro4 is
  subtype my_slv is std_ulogic_vector;
end;

library ieee;
use     ieee.std_logic_1164.all;
use work.pkg_repro4.all;

entity comp_repro4 is
	port (
		output : out my_slv
	);
end entity;

architecture a1 of comp_repro4 is
begin
	output <= (7 downto 0 => '0');  -- not using others due to issue #2421
end architecture;


entity aggr_repro4 is
end;

library ieee;
use     ieee.std_logic_1164.all;
use work.pkg_repro4.all;

architecture behav of aggr_repro4 is
  signal s : my_slv(7 downto 0);
begin
  inst: entity work.comp_repro4
    port map (output => s);

  process
  begin
    wait for 1 ns;
    assert s = (s'range => '0') severity failure;
    wait;
  end process;
end behav;