aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2422/aggr_repro2.vhdl
blob: 910b7fa9ce53c3db4c619cab0784c761d6d276ba (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
library ieee;
use     ieee.std_logic_1164.all;
use     ieee.numeric_std.all;

entity comp_repro2 is
	port (
		output : out unsigned
	);
end entity;

architecture a1 of comp_repro2 is
begin
	output <= (others => '0');  -- not using others due to issue #2421
end architecture;


entity aggr_repro2 is
end;

library ieee;
use     ieee.std_logic_1164.all;
use     ieee.numeric_std.all;

architecture behav of aggr_repro2 is
  signal s : unsigned(7 downto 0);
begin
  inst: entity work.comp_repro2
    port map (output => s);

  process
  begin
    wait for 1 ns;
    assert s = (s'range => '0') severity failure;
    wait;
  end process;
end behav;