aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue241/arr.vhdl
blob: 6d59a28716daac9197a6f2f4128fedc8085ab78a (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
entity arr is
end;

architecture behav of arr is
  type arr_type is array (natural range <>) of natural;
  constant a : arr_type (2 downto 1) := (1 | 2 => 3);
  constant b : boolean := a (1) = a (2);
begin
  process
  begin
    case true is
      when b => null;
      when false => null;
    end case;
    wait;
  end process;
end behav;