aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue238/proc1.vhdl
blob: 103e2855aa4336c78a035d3de880f359a2856b66 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
entity proc1 is
end;

use work.pkg.all;

architecture behav of proc1 is
  procedure proc (v : inout rec) is
  begin
    v.a := 5;
    assert v.a = 5 severity failure;

    v.s := "Good";
    assert v.a = 5 severity failure;
    assert v.s = "Good" severity failure;

    assert false report "ok" severity note;
  end proc;
  
begin
  process
   variable v : rec_4;
  begin
    proc (v);
    wait;
  end process;
end behav;