aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2353/atest_tb.vhdl
blob: 39c41011d430825b1ae5cae709d92ceee2d728e0 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
library ieee;
use ieee.std_logic_1164.all;

library osvvm;
library work;

entity atest_tb is
    generic (
        G_TB            : integer   := 1
    );
end entity;

architecture behv of atest_tb is

    package b_inst is new work.b generic map (G_TB);

    signal s_scoreboard : b_inst.scoreboard.ScoreboardIDType;

begin

    p1 : process is
    begin
        s_scoreboard    <= b_inst.scoreboard.NewID("FIFO");
        wait;
    end process p1;

end architecture;