aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2350/test3.vhdl
blob: 11702448434ddf871c583a4186c1ab4d4f101150 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
library ieee ;
    use ieee.std_logic_1164.all ;
    use ieee.numeric_std.all ;

entity test3 is
end entity ;

architecture arch of test3 is

    type cx_t is record
        re : signed (15 downto 0);
        im : signed (15 downto 0);
    end record ;

--    subtype c16_t is cx_t( re(15 downto 0), im(15 downto 0) ) ;

    constant x : cx_t := ( re => to_signed(0, 16), im => "00000001000000010" ) ;

begin
end architecture ;