aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2336/crash.vhdl
blob: d172cc3db91fcfca45010cba264d8bf08cb70786 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
library ieee;
use ieee.std_logic_1164.all;

entity repro is
  port (a, b, clk : std_logic);
end;

architecture behav of repro is
begin
  default clock is rising_edge(clk);

   -- This assertion should hold, but doesn't (GHDL BUG)
  NEXT_0_a : assert always (a -> next_event_e('1')[1 to 1] (b))
    report "NEXT_0_a failed";
end behav;