aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2333/empty.vhdl
blob: 8a3b9911ba704886fa0773340bc4f824e7c0196b (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
library ieee;
use ieee.std_logic_1164.all;

entity abcdefg is
end entity abcdefg;

architecture arch of abcdefg is
begin
end architecture arch;

-------------------------------------------


library ieee;
--use ieee.numeric_std.all; --using a package from IEEE (even a different one) "fixes" the crash

library Bugtests;
use Bugtests.abcdefg;
entity abcdefg_tb is

end entity;
architecture rtl of abcdefg_tb is
begin
end architecture;