aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue233/repro.vhdl
blob: c0a8260302cf17d7cac86e3304ddb4ca4d7f70a7 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
39
40
41
ENTITY test1 IS
  PORT (
    i : IN integer);
END ENTITY test1;

architecture behav of test1 is
begin
  process
  begin
    wait for 1 ns;
    assert i = 5 report "bad signal value" severity failure;
    wait;
  end process;
end behav;

ENTITY test IS
  PORT (
    o : OUT integer);
END ENTITY test;

ARCHITECTURE rtl OF test IS

BEGIN

  test1_1 : ENTITY work.test1
    PORT MAP (
      i => o);

END ARCHITECTURE rtl;

entity repro is
end repro;

architecture behav of repro is
  signal s : integer;
begin

  t: entity work.test port map (s);

  s <= 5;
end behav;