aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2326/generic_package.vhdl
blob: 377a592160ef14b6331b50ba48feeecc747d64d3 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
package generic_package is
   generic (type t);
   subtype st is t;
end;

entity testbench is
end;

architecture sim of testbench is
   package gp is new work.generic_package
      generic map (t => bit_vector(1 downto 0));

   constant c : gp.st := "10";
begin
   test : process begin
      report to_string(c);
      wait;
   end process;
end;