blob: 0b03be8d60f343d0797aa411e1f2e277666cae73 (
plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34
35
36
37
38
|
library ieee;
use ieee.std_logic_1164.all;
entity test_entity_assert is
port (
i_clk : in std_ulogic;
o_clk : out std_ulogic
);
end entity;
library ieee;
use ieee.std_logic_1164.all;
entity simple_configuration_specification_2 is
end entity;
architecture arch of simple_configuration_specification_2 is
signal s_clk : std_ulogic;
component test_entity_assert is
port (
i_clk : in std_ulogic;
o_clk : out std_ulogic
);
end component;
-- the following should be parsed
for inst_test_entity_assert : test_entity_assert
use entity work.test_entity_assert;
end for;
begin
inst_test_entity_assert : test_entity_assert
port map (
i_clk => s_clk,
o_clk => s_clk
);
end architecture;
|