aboutsummaryrefslogtreecommitdiffstats
path: root/testsuite/gna/issue2271/ent.vhdl
blob: 1d5ea6ff11282fb2297502c6b52ec7889eb76f66 (plain)
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16
17
18
19
20
21
library ieee;
use ieee.std_logic_1164.all;

entity ent is
end entity;

architecture behaviour of ent is
  component comp is
    port (
      d : in  std_logic;
      q : out std_logic
      );
    end component;
begin

  comp : comp
    port map (
      d => '0',
      q => open
      );
end architecture;